Huawei, Apple e outros gigantes entram no layout da nova linha principal de semicondutores que surgiu? Zheshang Securities Co.Ltd(601878) :Chiplet traz novas oportunidades para o desenvolvimento

[Huawei, Apple e outros gigantes entram no layout da nova linha principal de semicondutores emerge? Zheshang Securities Co.Ltd(601878) :Chiplet traz novas oportunidades para development】 Zheshang Securities Co.Ltd(601878) disse recentemente que o modelo Chiplet (core grain) é uma das direções do desenvolvimento do processo de semicondutores sob a desaceleração da Lei de Moore. A solução alcança uma curva no caminho para iterações avançadas de processo através da embalagem avançada de múltiplos chips nus. Em comparação às soluções SoC tradicionais, o modelo Chiplet oferece três vantagens: flexibilidade de projeto, baixo custo e curto tempo de colocação no mercado. Nos últimos anos, fabricantes internacionais têm lançado ativamente produtos relacionados, tais como Huawei Kunpeng 920, AMD’s Milan-X e Apple M1 Ultra. Espera-se que a Chiplet também apresente maiores exigências para os fornecedores de embalagens/IP, trazendo novas oportunidades de desenvolvimento.

O modelo Chiplet (core grain) é uma das direções de desenvolvimento do processo semicondutor sob a desaceleração da Lei de Moore.A solução alcança uma curva no caminho para iterações avançadas de processo através da embalagem avançada de múltiplos chips nus. Em comparação com as soluções SoC tradicionais, o modelo Chiplet tem

Flexibilidade de projeto, baixo custo e curto tempo de colocação no mercadoTrês vantagens. Nos últimos anos, fornecedores internacionais lançaram ativamente produtos relacionados, tais como Huawei Kunpeng 920, AMD’s Milan-X e Apple M1 Ultra.

Espera-se também que a Chiplet apresente maiores exigências para os fornecedores de embalagens/IP, trazendo novas oportunidades de desenvolvimento.Destaques do investimento▪Chiplet: A Lei de Moore Continua – O caminho para a substituição avançada de processos!Com iterações avançadas de processo para 7nm, 5nm e 3nm, a Lei de Moore está diminuindo gradualmente e o custo de desenvolvimento e a dificuldade dos processos avançados está aumentando. O programa Chiplet é uma solução alternativa importante para o processo avançado atual, através do programa Chiplet a China pode ser capaz de compensar os atuais defeitos de fabricação de chips com tecnologia de processo avançada, para a cadeia da indústria de semicondutores chinesa Trazendo novas oportunidades.

■ Layout gigante: Huawei/AMD/Apple-product case perspective!Os gigantes internacionais Huawei, AMD e Intel estão lançando Chiplet e lançando produtos relacionados. A Huawei lançou seu processador Kunpeng 920 de 7nm baseado na tecnologia Chiplet em 2019, com uma pontuação de referência SPECint Benchmark de mais de 930 nas freqüências principais típicas, excedendo em 25% a referência da indústria. A AMD lançou seu chip de processamento de servidores de terceira geração baseado na tecnologia de embalagem de Chiplet 3D da TSMC em março deste ano. A Apple lançou seu chip M1 Ultra utilizando o processo de ponte CoWos-S da TSMC, com interconexão interna de dois matrizes M1 Max para um salto de desempenho.

▪ Inovação do setor: Embalagem avançada + multiplexação IP – a chave para a cadeia de fornecimento!Os fornecedores internacionais Intel, TSMC, Samsung e muitas outras empresas criaram seus próprios ecossistemas Chiplet para capturar ativamente o mercado de embalagens avançadas Chiplet. Jcet Group Co.Ltd(600584) juntou-se à aliança da indústria UCIe em junho e lançou uma gama completa de soluções de pacote de fan-out de altíssima densidade da XDFOI no ano passado. Tongfu Microelectronics Co.Ltd(002156) Working de perto com a AMD, agora temos uma capacidade de produção em larga escala para a tecnologia avançada de embalagem Chiplet. A reutilização de IP no modo Chiplet ajuda os fornecedores de IP a se transformarem em fornecedores de Chiplet e a se transformarem em hardware.

▪ Potenciais empresas beneficiáriasEmbalagem avançada: Tongfu Microelectronics Co.Ltd(002156) , Jcet Group Co.Ltd(600584) , etc.

Empresas de PI de design: Verisilicon Microelectronics (Shanghai) Co.Ltd(688521) , etc.

Embalagem e testes equipment: Beijing Huafeng Test & Control Technology Co.Ltd(688200) 、 Hangzhou Chang Chuan Technology Co.Ltd(300604)Shenzhen Xinyichang Technology Co.Ltd(688383) 、 Suzhou Uigreen Micro&Nano Technologies Co.Ltd(688661) etc.

Carregamento de selos board: Shenzhen Fastprint Circuit Tech Co.Ltd(002436) etc.

■Risk dicasA embalagem avançada avança menos do que o esperado; intensificação das sanções no setor de tecnologia.

1. Chiplet: Continuing Moore’s Law – o caminho para a substituição avançada do processo!1.1.Chiplet ajuda a dobrar processos avançadosO modelo Chiplet (núcleo) é uma das direções do desenvolvimento do processo de semicondutores sob a desaceleração da Lei de Moore.Nas últimas décadas, o processo de fabricação do chip foi basicamente desenvolvido de acordo com a Lei de Moore, o número de transistores por unidade de área do chip pode ser acomodado aproximadamente a cada 18 meses dobrado, o desempenho e o custo do chip foram melhorados. No entanto, à medida que o processo se altera para 7nm, 5nm, 3nm e abaixo, o custo e a dificuldade de desenvolver processos avançados tem aumentado, e a economia do desenvolvimento de processos avançados está sendo gradualmente questionada. A arquitetura principal do chip da era da Lei pós-Moore, SoC (System-on-Chip), continua a impulsionar a Lei de Moore integrando múltiplos componentes responsáveis por diferentes tarefas de computação em um único chip, usando um único chip para obter funcionalidade completa e usando o mesmo processo para cada área funcional. Utilizando tecnologia avançada de embalagem baseada em integração heterogênea, o chip pode contornar a tecnologia avançada de processo para aumentar o desempenho enquanto reduz o custo e o tempo do ciclo de produção através da expansão aritmética. Em geral, Chiplet é uma solução de alto desempenho, baixo custo e rápido time-to-market que monta vários chips (como E/S, memória e núcleos IP) em um único pacote.

As soluções em chiplets impõem maiores exigências ao processo de embalagem.Chiplet e SiP similares, são realizados entre a integração de diferentes componentes e embalagens, e Chiplet cada chip nu é independente um do outro, o nível de integração é maior, não integrado em uma única pastilha, as soluções de embalagem atual Chiplet incluem principalmente embalagem 2.5D, embalagem 3D, embalagem MCM e outros tipos. soluções de embalagem Chiplet para alcançar cada chip nu entre a interconexões, mas também para garantir a qualidade da transmissão de sinais entre as diversas partes.

Os gigantes internacionais estabeleceram uma aliança da indústria UCIe para promover padrões de protocolos de interconexão.O modelo Chiplet precisa atingir a interconexão de vários chips, como definir o padrão de interconexão é uma questão importante. 2020 A Intel nos Estados Unidos, após aderir à CHIPS Alliance, forneceu gratuitamente a licença de interface de barramento de interconexão AIB pode suportar a construção do ecossistema Chiplet, mas outros fabricantes, devido à preocupação com a licença de interface, precisam usar a própria tecnologia avançada de embalagem EMIB da Intel, portanto, finalmente, a O padrão não é amplamente utilizado. Intel, AMD, Arm, Qualcomm, Samsung, TSMC, Sun and Moon, Google Cloud, Meta, Microsoft e outros grandes fabricantes em março de 2022 Aliança da indústria UCIe, com o objetivo de estabelecer um padrão unificado de interconexão die-to-die, o que facilitou o desenvolvimento de aplicações em modo Chiplet. Depois de pentear, acreditamos que a aliança UCIe estabelecida por gigantes internacionais desempenhará um papel importante na promoção da unificação dos padrões de interconexão Chiplet, e o desenvolvimento de soluções Chiplet será acelerado.

1.2. flexibilidade + baixo custo para dar origem à demanda de Chiplet

Em comparação com as soluções SoC tradicionais, o modelo Chiplet tem três vantagens: flexibilidade de projeto, baixo custo e curto ciclo de tempo de colocação no mercado, tornando a solução uma importante direção de desenvolvimento para processos semicondutores.O modo Chiplet permite a livre seleção de nós de processo para diferentes partições.Os chips SoC tradicionais devem escolher o mesmo nó de processo na fabricação, entretanto, chips diferentes têm requisitos de processo diferentes. Tais como chips lógicos, chips analógicos, chips RF, memória e outros nós de processo frequentemente maduros são diferentes, chips analógicos se o uso de processos avançados puder levar a vazamentos, ruídos e outros problemas, o uso unificado de chips SoC do mesmo processo causará alguns problemas. O modelo Chiplet é livre para escolher um processo diferente de chips nus, e então através da embalagem avançada para montagem, em comparação com o SoC é mais flexível, as vantagens são óbvias.

O modo Chiplet é bom para melhorar o rendimento e reduzir os custos de fabricação.A arquitetura tradicional SoC aumentará a área do chip único, o que aumentará a dificuldade do processo de fabricação do chip, a perda de rendimento provocada pela densidade de defeitos aumentará, o que levará ao aumento do custo de fabricação do chip SoC. A solução Chiplet, por outro lado, divide o chip grande em vários chips nus com uma área unitária menor, o que melhorará a taxa de rendimento em termos relativos e, assim, reduzirá seu custo de fabricação.

O modelo Chiplet permite a reutilização do produto e encurta o ciclo de time-to-market.O modo Chiplet permite a iteração seletiva de diferentes unidades do chip, e itera sobre alguns chips nus para produzir a próxima geração de produtos, encurtando significativamente o ciclo de time-to-market.

O modelo Chiplet tem atualmente problemas temporários, tais como altas exigências de tecnologia avançada de embalagem e baixa capacidade de dissipação de calor.A realização de cada chip nu entre a abertura, chapeamento requer operações de precisão; para assegurar que cada chip nu entre os dados para alcançar uma transmissão de alta velocidade e alta qualidade; em relação ao processo avançado Chiplet mode pobre capacidade de dissipação de calor, estes aumentos são para a fabricação de chips apresentam um novo desafio técnico.

2. Layout gigante: Huawei/AMD/Apple-product case perspective!2.1. Huawei: Primeiro a lançar a solução de servidor em nuvem Chiplet de 7nmHuawei lança o processador Kunpeng 920 de 7nm baseado na tecnologia ChipletA Huawei lançou o Kunpeng 920 é o processador líder do setor baseado no ARM, de acordo com as notícias do site oficial da empresa, o processador que utiliza um processo de fabricação de 7nm, baseado na licença da arquitetura ARM, projetado e completado pela Huawei, através da otimização do algoritmo de previsão de filiais, melhora o número de unidades de computação, melhora a arquitetura do subsistema de memória e uma série de projetos de microarquitetura, melhora significativamente o desempenho do processador. Em uma freqüência principal típica, a pontuação da SPECint Benchmark excede 930, superando em 25% a referência da indústria. Ao mesmo tempo, a taxa de eficiência energética é melhor do que a referência da indústria em 30%. O Kunpeng 920 oferece melhor desempenho para centros de dados com menor consumo de energia. O processador cria um subsistema de cache coerente para integrar vários núcleos em um único chip pequeno, enquanto pequenos blocos IO paralelos dedicados foram desenvolvidos para permitir a conectividade inter-chips de alta largura de banda para soluções de pacotes bidimensionais.

2.2 AMD: Junção com a TSMC para lançar a solução Chiplet 3DA AMD une forças com a TSMC para lançar os produtos Chiplet 3D.A AMD lançou seu Cache 3D em V baseado na tecnologia 3D Chiplet em junho de 2021, que usa a tecnologia avançada de embalagem 3D Fabric da TSMC para embalar Chiplets contendo 64MB de Cache L3 em uma pilha 3D com o processador. em março de 2022 a AMD lançou o processador Milan-X Skyline. O processador é uma atualização para o processador de terceira geração baseado em Milão, o EPYC 7003, que atinge 768 MB de cache L3 usando a tecnologia de empilhamento 3D V-Cache da AMD. milan-X é um MCM que contém nove chips pequenos, incluindo oito matrizes CCD e uma matrizes I/O grande.

Foto

2.3 Apple: As interconexões Dual M1 Max criam uma solução de alto desempenhoA Apple dá um salto de desempenho com o chip M1 Ultra usando o processo de ponte CoWos-S da TSMC O chip M1 Ultra da Apple, lançado em março de 2022, apresenta uma arquitetura única de chip UltraFusion que permite um salto de desempenho através da interconexão interna de dois matrizes M1 Max, graças à tecnologia CoWos-S da TSMC. 2,5TB/s. O M1 Ultra tem 128GB de memória integrada internamente e contém oito componentes de memória em uma pilha de 16 camadas HBM (High Bandwidth Memory) com uma taxa de transferência de núcleo de 3200M e uma largura de banda de transferência real de mais de 800GB/s. Este produto dá mais um grande salto em frente para os chips Apple e a série de computadores Mac e é um marco.

3. inovação da indústria: embalagem avançada + multiplexação IP – a chave para a cadeia de fornecimento!3.1 Embalagem avançada aumenta a flexibilidade do projetoAs soluções atuais de embalagem Chiplet incluem principalmente embalagem 2.5D, embalagem 3D, embalagem MCM e outros tipos.O pacote 2.5D será de múltiplos chips lado a lado na camada intermediária (Interposer), através da conexão Micro Bump (Micro Bump), para que o fio metálico interno conecte os sinais eletrônicos entre os chips, e depois através da perfuração de silício (TSV) para conectar o bump metálico inferior (Solder Bump), e depois através da placa portadora do fio para conectar a esfera metálica externa, para conseguir uma conexão estanque entre os componentes. A tecnologia MCM é a montagem de múltiplos chips nus LSI/VLSI/ASIC e outros componentes no mesmo substrato de interconexão multicamadas, e depois embalados.

Os fabricantes internacionais estão colocando ativamente as embalagens Chiplet.Atualmente a Intel, TSMC, Samsung e muitas outras empresas criaram seu próprio ecossistema Chiplet e estão ativamente aproveitando o mercado de embalagens avançadas Chiplet.

A Intel lançou o Foveros, uma tecnologia de integração de sistemas heterogêneos empilhados em 3D, e o EMIB, uma tecnologia de ponte de interconexão multi-chip integrada.A tecnologia do pacote usa pilhas 3D para permitir a integração lógica a lógica, proporcionando aos projetistas grande flexibilidade para misturar e combinar o uso de blocos IP de tecnologia com vários elementos de memória e de entrada/saída em novos fatores de forma do dispositivo. A tecnologia EMIB combina substratos orgânicos e de silicone com substratos de silicone incorporados para interconexões de alta densidade, mantendo a densidade e o desempenho de interconexão através de tal arquitetura, além de os custos de fabricação podem ser reduzidos.

A TSMC introduz o tecido 3D, equipado com empilhamento de silício 3D e tecnologias avançadas de embalagem, como CoWoS e InFO.A família de tecnologias 3DFabric da TSMC inclui tecnologias de interconexão 2D e 3D front-end e back-end. A tecnologia front-end, TSMC-SoIC, utiliza tecnologia de ponta de fabricação de silício e métodos necessários para o empilhamento de silício 3D, incluindo tecnologias de empilhamento de chips chip-on-wafer (CoW) e wafer-on-wafer (WoW) que permitem o empilhamento de chips 3D de chips similares e diferentes para fornecer uma variedade de funções, incluindo o aumento dos núcleos computacionais potência de computação aumentando o número de núcleos de computação, memória empilhada para fornecer mais memória e maior largura de banda, e melhor transferência de energia através de capacitores de trincheiras profundas. A TSMC também possui várias fábricas back-end proprietárias que podem montar e testar chips de silício, incluindo chips empilhados em 3D, e processá-los em dispositivos embalados. Os processos back-end de tecido 3D da TSMC incluem as famílias CoWoS e InFO de tecnologias de embalagem.

As empresas chinesas Tongfu Microelectronics Co.Ltd(002156) e Jcet Group Co.Ltd(600584) estão implantando ativamente a tecnologia de embalagem Chiplet. Jcet Group Co.Ltd(600584) juntou-se à aliança da indústria UCIe em junho para participar na promoção da padronização da especificação da interface Chiplet, de acordo com as perguntas e respostas dos investidores, a empresa lançou no ano passado uma gama completa de soluções de empacotamento XDFOI de muito alta densidade, a tecnologia é uma embalagem Chiplet-oriented de muito alta densidade, multi-fan-out soluções de integração heterogênea de alta densidade, incluindo 2D/2.5D/3D Chiplet, proporcionando aos clientes um balcão único de densidade regular a muito alta e de tamanho muito pequeno a muito grande. Tongfu Microelectronics Co.Ltd(002156) Work estreitamente com a AMD, é uma importante fundição de embalagens AMD, em Chiplet, WLP, SiP, Fanout, 2.5D, empilhamento 3D e outros aspectos do layout e reservas, agora tem a Chiplet tecnologia avançada de embalagem com capacidade de produção em larga escala.

A embalagem de chipslets impulsiona o crescimento da demanda por testadores de chips.De acordo com a pesquisa da empresa, comparada à embalagem SoC, a produção de chips de arquitetura Chiplet requer múltiplos chips nus, a falha de um único chip nu levará à falha de todo o chip, o que requer que as empresas de embalagem e testes realizem um maior número de testes para reduzir a perda de chips defeituosos. Atualmente Beijing Huafeng Test & Control Technology Co.Ltd(688200) e Hangzhou Chang Chuan Technology Co.Ltd(300604) são apresentados em termos de testadores e espera-se que se beneficiem do crescimento da demanda de testadores trazida pela embalagem Chiplet.

3.2. multiplexação de IP para melhorar a economia do projetoO desenvolvimento do chiplet é propício à realização do “chip IP”. O chiplet consiste em diferentes funções do chip nu, ao mesmo tempo em que o chip bare chip é na verdade um semicondutor IP através do projeto e otimização do processo após a produção de produtos de hardware, em certo sentido o chip chiplet também pode ser visto como Os fornecedores de PI têm a possibilidade de transformar de fornecedores de PI para fornecedores de produtos Chiplet, aumentando assim o valor agregado da empresa na cadeia da indústria. Sob o modelo Chiplet, as empresas de projeto podem comprar hardware de diferentes empresas e depois combiná-los através de embalagens avançadas, nas quais as empresas de PI devem fazer a transição para fornecedores de hardware.

Verisilicon Microelectronics (Shanghai) Co.Ltd(688521) Como o maior fornecedor de semicondutores IP da China, espera-se que se beneficie do desenvolvimento da Chiplet.A empresa está em primeiro lugar no continente, os sete maiores fornecedores mundiais de semicondutores IP, é uma das primeiras empresas no continente a aderir à UCIe Alliance, tem uma riqueza de núcleos de IP de processadores, bem como capacidade de projeto de chips líderes. A empresa está atualmente comprometida com a industrialização da Chiplet através do “chip IP” e da “plataforma chip”, e as principais empresas globais de embalagem e testes, os fabricantes de chips estabeleceram relações de cooperação, no lançamento do negócio Chiplet tem a vantagem. A empresa planeja para 2022 a 2023, continuar a promover a plataforma de processador de aplicações de ponta Chiplet programa iterativo de pesquisa e desenvolvimento, para promover Chiplet em computadores tablet, condução autônoma, centros de dados e outras áreas de industrialização processo de aterrissagem, o núcleo original pode ser o primeiro do mundo para os clientes a lançar produtos comerciais Chiplet da empresa.

4. beneficiar-se do assunto: foco em embalagens / equipamentos / elos IP e mudanças na cadeia de suprimentos!Embalagem avançada: A China tem atualmente uma lacuna significativa com fabricantes internacionais em tecnologia de processo avançada, as soluções Chiplet oferecem uma oportunidade para que a indústria chinesa de fabricação de chips se curve e ultrapasse. Os fabricantes chineses de chips podem compensar as desvantagens da cadeia industrial de processo avançado da China que está atrasada, adotando soluções Chiplet para melhorar o desempenho do chip através de embalagens avançadas. Espera-se que as empresas chinesas do setor de embalagens avançadas se beneficiem do desenvolvimento de soluções Chiplet, beneficiando empresas como Tongfu Microelectronics Co.Ltd(002156) , Jcet Group Co.Ltd(600584) , etc.

Empresas IP: As soluções Chiplet reduzem o custo e o limite do projeto do chip, e a multiplexação IP melhora a flexibilidade do projeto. Espera-se que as empresas de PI se transformem de fornecedores de PI para fornecedores de Chiplet e aumentem o valor fornecido na cadeia da indústria, beneficiando empresas como Verisilicon Microelectronics (Shanghai) Co.Ltd(688521) .

Equipamento de embalagem e teste: A chave para a implementação de soluções Chiplet está na implementação de tecnologia avançada de embalagem, o que aumenta as exigências e a demanda por equipamento de embalagem. Se a Chiplet projeta um grande número de chips nus, o processo de embalagem requer o teste de um grande número de chips para garantir o rendimento do chip final. Espera-se que as empresas chinesas de embalagens e equipamentos de teste sejam beneficiadas, beneficiando empresas como Beijing Huafeng Test & Control Technology Co.Ltd(688200) , Hangzhou Chang Chuan Technology Co.Ltd(300604) , Shenzhen Xinyichang Technology Co.Ltd(688383) , Suzhou Uigreen Micro&Nano Technologies Co.Ltd(688661) , etc.

Embalagem em cartão: A solução Chiplet utilizará embalagem 2.5D, embalagem 3D, embalagem MCM e outras formas de embalagem avançada para o chip, este método de embalagem aumentará o número de camadas de ABF, cartão transportador PCB, o número específico de camadas e os requisitos de índice técnico dependem do esquema de design do chip. Espera-se que os fabricantes chineses de placas de circuito impresso ABF se beneficiem do desenvolvimento do programa Chiplet, as empresas beneficiárias incluem Shenzhen Fastprint Circuit Tech Co.Ltd(002436) , etc.

Artigos relacionados

Semicondutor “Retorno do Rei”? Forte comício abre estas ações por gestores de fundos estelares para aumentar posições Nova linha principal está emergindo

Está surgindo uma nova linha principal de semicondutores Esta tecnologia se tornou uma nova arma mágica para continuar as empresas listadas na Lei de Moore aumentaram o layout!

Espera-se que os fabricantes chineses aumentem rapidamente sua participação no mercado! O número de licitações vencedoras de equipamentos semicondutores que utilizam um pente de alta oferta

Citic Securities Company Limited(600030) :Beyond Moore’s Law Advanced packaging has great potential

- Advertisment -